Файл: Dds прямой цифровой синтез частоты Еще несколько лет назад прямые цифровые синтезаторы частоты.pdf

ВУЗ: Не указан

Категория: Не указан

Дисциплина: Не указана

Добавлен: 23.11.2023

Просмотров: 65

Скачиваний: 3

ВНИМАНИЕ! Если данный файл нарушает Ваши авторские права, то обязательно сообщите нам.

DDS: прямой цифровой синтез частоты
Еще несколько лет назад прямые цифровые синтезаторы частоты
(Direct Digital Synthesizers или DDS) были диковинкой с очень
ограниченной областью применения. Их широкое использование
сдерживалось сложностью реализации, а также недостаточно
широким диапазоном рабочих частот. Несмотря на то, что в
настоящее время наиболее популярны синтезаторы на основе
фазовой автоподстройки частоты (PLL), все чаще применяются
прямые цифровые синтезаторы, имеющие ряд уникальных
возможностей. DDS уже не воспринимаются разработчиками как
некие сложные, непонятные и дорогие устройства.
Под термином «синтезатор частоты» понимают электронное устройство, способное из опорной частоты получать на выходе требуемую частоту или набор частот, согласно управляющим сигналам. Наиболее распространенными являются следующие методы синтеза частот:
• прямой аналоговый синтез (Direct Analog Synthesis, или DAS) на основе структуры смеситель/фильтр/делитель, когда выходная частота получается непосредственно из опорной частоты посредством операций смешения, фильтрации, умножения и деления
• косвенный (indirect) синтез на основе фазовой подстройки частоты (Phase Locked Loop, или PLL), когда выходная частота получается с помощью дополнительного генератора
(чаще Voltage Controlled Oscillator, или VCO), который охвачен петлей фазовой автоподстройки
• прямой цифровой синтез (Direct Digital Synthesis, или DDS), когда выходной сигнал синтезируется цифровыми методами
• гибридный синтез, представляющий собой комбинацию нескольких методов, описанных выше
Каждый из этих методов синтеза частот имеет преимущества и недостатки, следовательно, для каждого конкретного приложения нужно делать выбор, основанный на наиболее приемлемой комбинации компромиссов.
Основными параметрами, характеризующими качество синтезатора частоты, являются следующие:
• чистота спектра выходного сигнала (уровень побочных компонентов и уровень шума)
• диапазон перестройки (полоса частот выходного сигнала)
• скорость перестройки
• частотное разрешение
• количество разных генерируемых частот
• гибкость (возможность осуществления различных видов модуляции)
• неразрывность фазы выходного сигнала при перестройке

Прямой аналоговый синтез (DAS)
Структурная схема прямого аналогового синтезатора показана на рис. 1. Этот метод называют прямым по той причине, что отсутствует процесс коррекции ошибки, следовательно, качество выходного сигнала напрямую связано с качеством опорного сигнала.
Фазовый шум этого синтезатора достаточно низкий вследствие прямого синтеза. Перестройка по частоте также может быть очень быстрой. Одной из важных особенностей такого синтезатора на основе смесителя/фильтра является возможность вернуться на любую частоту и продолжать работать в той фазе, как и в том случае, если бы перехода вообще не было. Этот эффект называют «фазовой памятью». Для перестройки по частоте используется переключаемый банк опорных генераторов. Это подходит, например, для радиостанций с небольшим количеством каналов. Но для обеспечения широких возможностей по перестройке частоты требуется очень много опорных генераторов, что является весьма дорогостоящим решением. Используя делители частоты (структура смеситель/фильтр/делитель) можно уменьшить количество необходимых опорных генераторов, хотя и в этом случае возможности по перестройке останутся более чем скромными.
ВЫХОД
Рис. 1. Прямой аналоговый синтезатор частоты (
DAS)
ПОЛОСОВОЙ
ФИЛЬТР
F + F
1
2
ПОЛОСОВОЙ
ФИЛЬТР
F + F
3
4
F
3
1
2
= F + F
F
1
F
4
F
2
F + F + F
1
2
4
G1
G4
G2
G5
G3
G6
СМЕСИТЕЛЬ 2
СМЕСИТЕЛЬ 1
МОДУЛИ-
РОВАННЫЙ
СИГНАЛ
Косвенный синтез частоты на основе фазовой автоподстройки (PLL)
Этот метод синтеза использует принцип сравнения частоты и фазы выходного сигнала, источником которого служит генератор, управляемый напряжением (VCO), с сигналом опорного генератора. Структурная схема такого синтезатора показана на рис. 2. Обнаружение ошибки осуществляется с помощью фазового детектора, который работает на определенной частоте FC, называемой частотой сравнения. Эта частота получается путем деления на N частоты опорного генератора G. Частота выходного сигнала вначале делится на M, а потом сравнивается с частотой FC. Если частота отклоняется, обнаруженная ошибка вызывает изменение управляющего напряжения VCO, что приводит к уменьшению отклонения.


G
ВЫХОД
Рис. 2. Синтезатор частоты на основе PLL.
VCO
ПЕТЛЕВОЙ
ФИЛЬТР
ФАЗОВЫЙ
ДЕТЕКТОР
÷
N
÷
M
F
C
F
C
Поскольку делители частоты имеют целочисленные коэффициенты деления, шаг сетки такого синтезатора определяет частота сравнения. Выходная частота определяется по формуле:
F
OUT
= FC·M = (F
CLK
/N) ·M = F
CLK
·(N/M), где F
OUT
– выходная частота,
FC – частота сравнения,
N – коэффициент деления опорной частоты,
M – коэффициент деления выходной частоты
Другими словами, PLL синтезатор умножает опорную частоту в N/M раз.
Коэффициенты N и M могут задаваться микроконтроллером, хотя на практике число N при перестройке меняют редко, так как это влечет за собой изменение частоты сравнения
(соответственно, и шага сетки) и требует изменения параметров петлевого фильтра.
Фазовый детектор является источником дополнительных фазовых шумов. Попытки получить малый шаг перестройки частоты вынуждают работать на более низкой частоте сравнения, что требует понижения частоты среза петлевого фильтра. А это еще более увеличивает фазовые шумы. Быстрое переключение частоты в этой структуре также обеспечить очень сложно.
Для получения малого шага перестройки по частоте иногда объединяют в одном синтезаторе несколько петель PLL. Однако многопетлевой PLL синтезатор является весьма дорогим и громоздким устройством, что сдерживает его широкое применение.
Прямой цифровой синтез (DDS)
Прямой цифровой синтез – относительно новый метод синтеза частоты, появившийся в начале 70-х годов прошлого века. Как видим, все описанные методы синтеза доступны разработчикам уже десятилетия, но только в последнее время DDS уделяется пристальное внимание. Появление дешевых микросхем полных DDS и удобных средств разработки делает их сегодня привлекательными для разных сфер применения.
DDS уникальны своей цифровой определенностью: генерируемый ими сигнал синтезируется со свойственной цифровым системам точностью. Частота, амплитуда и фаза сигнала в любой момент времени точно известны и подконтрольны. DDS практически не подвержены температурному дрейфу и старению. Единственным элементом, который обладает свойственными аналоговым схемам нестабильностями, является ЦАП. Все это
является причиной того, что в последнее время DDS вытесняют обычные аналоговые синтезаторы частот.
Основные преимущества DDS:
• цифровое управление частотой и фазой выходного сигнала
• очень высокое разрешение по частоте и фазе
• экстремально быстрый переход на другую частоту (или фазу), перестройка по частоте без разрыва фазы, без выбросов и других аномалий, связанных с временем установления
• архитектура, основанная на DDS, ввиду очень малого шага перестройки по частоте, исключает необходимость применения точной подстройки опорной частоты, а также обеспечивает возможность параметрической температурной компенсации
• цифровой интерфейс легко позволяет реализовать микроконтроллерное управление
• для квадратурных синтезаторов имеются DDS с I и Q выходами, которые работают согласованно
Частотное разрешение DDS составляет сотые, и даже тысячные доли герца при выходной частоте порядка десятков мегагерц. Такое разрешение недостижимо для других методов синтеза. Другой характерной особенностью DDS является очень высокая скорость перехода на другую частоту. Синтезаторы на основе PLL используют обратную связь и фильтрацию сигнала ошибки, что замедляет процесс перестройки частоты. Для DDS скорость перестройки ограничена практически только быстродействием цифрового управляющего интерфейса. Более того, все перестройки по частоте происходят у DDS без разрыва фазы выходного сигнала. Поскольку выходной сигнал синтезируется в цифровом виде, очень просто осуществить модуляцию различных видов.
Параметры синтезатора частоты очень важны для аппаратуры связи. Являясь сердцем системы настройки, синтезатор в основном определяет потребительские свойства конкретного аппарата. Как с технической, так и с экономической стороны, DDS удовлетворяет большинству критериев идеального синтезатора частоты: простой, высокоинтегрированный, малых габаритов. Дополнительно, многие параметры DDS программно-управляемые, что позволяет добавить в устройство новые возможности. Современные DDS используют субмикронную CMOS-технологию, 3-х вольтовую логику, миниатюрные корпуса.
Одновременно постоянно уменьшается цена. Все это делает DDS очень перспективными приборами.
С процессом дискретизации и цифро-аналогового преобразования, который имеет место в DDS, связаны и некоторые ограничения:
• максимальная выходная частота не может быть выше половины тактовой (на практике она еще меньше). Это ограничивает области применения DDS на HF и часть VHF диапазона
• отдельные побочные компоненты на выходе DDS могут быть значительно большими, чем у других видов синтеза. Спектральная чистота выходного сигнала DDS сильно зависит от качества ЦАП
• потребляемая DDS мощность практически прямо пропорциональна тактовой частоте и может достигать сотен милливатт. При больших тактовых частотах DDS могут оказаться непригодными для устройств с батарейным питанием


Структура DDS
Если сразу рассматривать реальную структуру конкретного DDS, то она может показаться необоснованно сложной и запутанной. Для того чтобы та или иная особенность структуры DDS была очевидной, начнем рассмотрение с конечного результата, который нужно получить.
Задача DDS – получить на выходе сигнал синусоидальной формы с заданной частотой.
Поскольку в DDS формирование выходного сигнала происходит в цифровой форме, совершенно очевидна необходимость цифро-аналогового преобразования. Это означает, что в структуре DDS должен быть ЦАП. В любом случае, на выходе ЦАП должен присутствовать
ФНЧ для подавления образов выходного спектра, повторяющихся с периодичностью F
CLK
(anti-aliasing filter). Для получения синусоидального сигнала на вход ЦАП необходимо подать последовательность отсчетов функции sin, следующих с частотой дискретизации F
CLK
. Закон изменения функции sin во времени сложен и цифровыми методами просто не реализуется.
Можно, конечно, используя полиномиальное представление, вычислять значения функции sin с помощью АЛУ. Однако такой метод вряд ли будет быстродействующим, а высокое быстродействие как раз является одним из основных требований к DDS. Поэтому наиболее подходящим методом формирования отсчетов функции sin является табличный метод.
Перекодировочная таблица (Look Up Table) чаще всего размещается в ПЗУ. Код, который подается на адресные входы ПЗУ является аргументом функции sin, а выходной код ПЗУ равен значению функци для данного аргумента. Аргумент функции sin, или фаза, в отличие от значения функции, меняется во времени линейно. Сформировать линейно меняющуюся во времени последовательность кодов гораздо проще, это способен сделать простой двоичный счетчик. Поэтому простейший DDS выглядит так (рис. 3): двоичный счетчик формирует адрес для ПЗУ, куда записана таблица одного периода функции sin, отсчеты с выхода ПЗУ поступают на ЦАП, который формирует на выходе синусоидальный сигнал, подвергающийся фильтрации в ФНЧ и поступающий на выход. Для перестройки выходной частоты используется делитель частоты с переменным коэффициентом деления, на вход которого поступает тактовый сигнал с опорного генератора.
Рис. 3. Простейший прямой цифровой синтезатор.
G
÷
N
СT
C
ЦАП
ВЫХОД
ПЗУ
sin
КОД ЧАСТОТЫ
ФИЛЬТР
Такая структура DDS имеет очевидные недостатки. Основным недостатком этой структуры является неудовлетворительная способность к перестройке по частоте.
Действительно, поскольку тактовая частота испытывает деление на целое число, шаг перестройки будет переменным, причем, чем меньше коэффициент деления, тем больше относительная величина шага. Этот шаг будет недопустимо грубым при малых коэффициентах деления.
Кроме того, при перестройке выходной частоты будет меняться и частота дискретизации. Это затрудняет фильтрацию выходного сигнала, а также ведет к

неоптимальному использованию скоростных характеристик ЦАП, – они будут в полной мере использованы лишь на максимальной выходной частоте. Гораздо логичнее всегда, независимо от выходной частоты, работать на постоянной частоте дискретизации, близкой к максимальной для используемого ЦАП.
Все недостатки описанной выше структуры могут быть устранены путем введения всего одного, но весьма оригинального изменения. Достаточно адресный счетчик ПЗУ заменить другим цифровым устройством, которое называется накапливающим сумматором.
Накапливающий сумматор представляет собой регистр, который в каждом такте работы устройства перезагружается величиной, равной старому содержимому, плюс некоторая постоянная добавка (рис. 4). Как и для счетчика, содержимое регистра линейно увеличивается во времени, только теперь приращение не всегда является единичным, а зависит от величины постоянной добавки. Когда накапливающий сумматор используется для формирования кода фазы, его еще называют аккумулятором фазы. Выходной код аккумулятора фазы представляет собой код мгновенной фазы выходного сигнала. Постоянная добавка, которая используется при работе аккумулятора фазы, представляет собой приращение фазы за один такт работы устройства. Чем быстрее изменяется фаза во времени, тем больше частота генерируемого сигнала. Поэтому значение приращения фазы фактически является кодом выходной частоты.
Рис. 4. Прямой цифровой синтезатор на основе накапливающего сумматора.
ВЫХОД
G
RG
C
SM
АККУМУЛЯТОР ФАЗЫ
ПЗУ
sin
ЦАП
КОД
ЧАСТОТЫ
F
CLK
ФИЛЬТР
RG
Действительно, если приращение фазы равно единице, то поведение накапливающего сумматора ничем не отличается от поведения двоичного счетчика. Но если приращение фазы будет равно, например, двум, то код фазы будет изменяться вдвое быстрее. При этом на ЦАП коды будут поступать с той же частотой, но они будут представлять собой не соседние отсчеты функции sin, а взятые через один. Частота генерируемого сигнала при этом будет вдвое большей, а частота дискретизации останется прежней.
Аккумулятор фазы работает с периодическими переполнениями, обеспечивая арифметику по модулю 2
N
Такое периодическое переполнение соответствует периодическому поведению функции sin с периодом 2·
π. Другими словами, частота переполнений аккумулятора фазы равна частоте выходного сигнала. Это частота определяется формулой:
F
OUT
= M·F
CLK
/2
N
где
F
OUT
– выходная частота,
F
CLK
– тактовая частота,


M – код частоты,
N – разрядность аккумулятора фазы.
По существу, тактовая частота испытывает деление на некоторое число, которое определяется кодом частоты и разрядностью аккумулятора фазы. При этом шаг перестройки частоты не зависит от ее значения и равен
ΔF
OUT
= F
CLK
/2
N
Из этого соотношения следует еще одно уникальное свойство синтезатора частоты на основе накапливающего сумматора: если увеличить разрядность N, то уменьшится шаг перестройки частоты. Причем особых ограничений здесь нет. Например, если разрядность накапливающего сумматора 32 бита, а тактовая частота составляет 50 МГц, то частотное разрешение составит порядка 0.01 Гц! Увеличение разрядности аккумулятора фазы не требует обязательного увеличения разрядности адреса ПЗУ. Для адресации можно использовать лишь необходимое количество старших разрядов кода фазы. Более детально этот вопрос будет рассмотрен ниже.
Для уменьшения объема ПЗУ можно использовать свойства симметрии функции sin. В большинстве DDS в ПЗУ содержится только 1/4 периода. Правда, при этом немного усложняется логика формирования адреса.
Таким образом, в DDS аккумулятор фазы формирует последовательность кодов мгновенной фазы сигнала, которая изменяется линейно (рис. 5). Скорость изменения фазы задается кодом частоты. Далее с помощью ПЗУ линейно изменяющаяся фаза преобразуется в изменяющиеся по синусоидальному закону отсчеты выходного сигнала. Эти отсчеты поступают на ЦАП, на выходе которого формируется синусоидальный сигнал, состоящий из
«ступенек». Эти «ступеньки» фильтруются с помощью аналогового ФНЧ, на выходе которого получается синусоидальный сигнал.
Рис. 5. Работа
DDS
G
КОД
ЧАСТОТЫ
F
CLK
ВЫХОД
АККУМУЛЯТОР
ФАЗЫ
ПЗУ
sin
ЦАП
ФНЧ
Выходной синусоидальный сигнал восстанавливается из отдельных отсчетов. Целое число отсчетов на период укладывается лишь в частном случае (рис.6а). В большинстве случаев это не так, и на каждом новом периоде сигнала отсчеты лежат в новых местах
(рис.6б). Конечно, картина периодически повторяется, но период повторения может быть самым разным. Он зависит от кода частоты, разрядности аккумулятора фазы и от разрядности используемого кода фазы. В любом случае с равным успехом из последовательности отсчетов будет восстановлен сигнал синусоидальной формы.

Рис. 6. Положения выборок выходного сигнала для разных частот.
а)
б)
1/F
CLK
Рассмотренная выше структура применяется во всех современных DDS. Объединение в одном чипе быстродействующего ЦАП и собственно DDS (так называемый полный DDS или Complete DDS) позволило получить весьма заманчивую альтернативу обычным синтезаторам на основе PLL. DDS, не имеющие встроенного ЦАП иногда называют
Numerically Controlled Oscillator (NCO), несмотря на то, что DDS не содержит никаких генераторов.
Кроме интегрированного ЦАП DDS могут иметь некоторые дополнительные цифровые блоки, выполняющие над сигналом различные дополнительные операции. Эти блоки обеспечивают большую функциональность DDS и улучшенные пользовательские характеристики. К таким блокам относятся:
• встроенный умножитель опорной частоты
• дополнительный цифровой сумматор для программирования фазы
• инверсный sinc фильтр для компенсации неравномерности АЧХ
• дополнительный цифровой умножитель для амплитудной модуляции
• дополнительный ЦАП для получения квадратурных сигналов I и Q
• дополнительный компаратор с низким джиттером для получения цифрового тактового сигнала
• дополнительные регистры частоты и фазы, которые могут быть заранее запрограммированы для осуществления высокоскоростной модуляции
Назначение всех этих дополнительных блоков будет описано ниже. Для того, чтобы правильно применять DDS, необходимо представлять, как различные факторы влияют на качество выходного сигнала. Для этого рассмотрим отдельно свойства DDS и составляющие качества выходного сигнала.